Board logo

标题: HELP>>>>>>>>>>>>>> [打印本页]

作者: eliucheng    时间: 2007-1-19 18:05     标题: HELP>>>>>>>>>>>>>>

本人最近在学习使用VHDL编写FPGA控制步进电机细分驱动的设计

请问各位大侠谁有这方面的资料  给我介绍一下啊

谢谢啦


作者: caopengly    时间: 2007-1-20 22:29

eliucheng

    我以前用vhdl编过步进电机的程序。程序以不在,给几点建议:

1。了解步进电机的各种脉冲给定的方式:abcd,a ab b bc。。。等

2。用vhdl来实现是注意启动和停止时的加速和减速(脉冲频率)设计,不能急停或急启动。不然容易失步。

3。对于驱动接口的编写。

goodluck

caopengly 






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0