Board logo

标题: Component 组件问题 [打印本页]

作者: ywt0303125    时间: 2007-3-8 14:50     标题: Component 组件问题

请问一下,在Component Editor中,用VHDL编写的硬件组件怎样访问他的寄存器,在inc目录下的寄存器映射文件,*_regs.h文件里定义的寄存器。
作者: caopengly    时间: 2007-3-8 15:21

component是将vhdl的模块封装成元件,供nios系统调用,你说的访问他的寄存器,是指的谁的什么寄存器。请说明详细些。

不管怎样,都应该是由硬件逻辑电路支持相应的操作才行。


作者: caopengly    时间: 2007-3-8 16:11

inc目录下的文件时系统的驱动程序。是系统软件使用的。

不是硬件描述vhdl生成或调用的。


作者: kzw    时间: 2007-3-9 22:13

你用vhdl编写的应该是从设备,一般不可能对系统生成的寄存器进行访问,除非你做一个dma设备可以访问某些地址。






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0