Board logo

标题: quartus 仿真出错 [打印本页]

作者: wmx182    时间: 2007-3-11 16:03     标题: quartus 仿真出错

用quartus ii时序仿真时出现的问题:

Error: Can't continue timing simulation because delay annotation information for design is missing

盼望高手解答


作者: caopengly    时间: 2007-3-14 12:52

提示你的延时信息丢失。

你的波形文件保存没有,如果保存了,你可以编译一下工程,新建一个波形文件。

如果还不行可以新建项目试试。


作者: tmsonhust    时间: 2007-4-30 17:49

只需要将工程全编译一遍就可以了(包括布局布线)




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0