Board logo

标题: fpga与matlab设计 [打印本页]

作者: mentoruser    时间: 2007-3-27 12:47     标题: fpga与matlab设计

请教各位大虾,用matlab怎么设计生成vhdl语言??有资料可共享吗??
作者: parvovirus32    时间: 2007-4-27 22:04

可以在SIMULINK下安装XILINX 的SYSTEM GENERATOR 的模块,然后用ISE直接生成VHDL语言。






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0