Board logo

标题: [原创]VHDL在通信系统中的应用与实现 [打印本页]

作者: angelsix2    时间: 2007-4-10 22:37     标题: [原创]VHDL在通信系统中的应用与实现

这是我毕业设计的题目,老师要求防真,主要是写代码,可是我都不知道如何下手,请高手指点一下,给个大概 的思路就好,不胜感激!!!!




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0