Board logo

标题: 请问ISE7.1编译出错的问题 [打印本页]

作者: pigzy    时间: 2007-4-13 14:38     标题: 请问ISE7.1编译出错的问题

请教高手。

我的一个工程,用的是ISE7.1,芯片是XC2S50-PQ208。编译完成到map的时候一直出错,说一个网络“orient”连接到了BUFG上,但是没有给它分配全局的管脚。

可是天地良心,这个网络我根本就没有用到BUFG。

ucf文件如下,红色的是出问题的网络,屏蔽掉後可以编译通过

NET "b"  LOC = "P166"  ;
NET "g"  LOC = "P165"  ;
NET "hs"  LOC = "P168"  ;
NET "orient"  LOC="P45"  ;
NET "r"  LOC = "P164"  ;
NET "vs"  LOC = "P167"  ;
NET "clk" LOC = "P80"  ;

下面是ISE的错误提示

Using target part "2s50pq208-5".
Mapping design into LUTs...
ERROR:MapLib:93 - Illegal LOC on IPAD symbol "orient" or BUFGP symbol
   "orient_BUFGP" (output signal=orient_BUFGP), IPAD-IBUFG should only be LOCed
   to GCLKIOB site.

Error found in mapping process, exiting...
Errors found during the mapping phase.  Please see map report file for more
details.  Output files will not be written.


作者: pigzy    时间: 2007-4-13 16:36

自己搞定了,发出来参考一下。

原来是ISE综合的时候自动将"orient"网络连接到BUFG了,但是我又没有分配全局时钟GCLK的管脚给它,所以出错。编了个xcf约束文件,约束该网络连接到IBUF即可

在process 选项的Synthesis-XST流程里,右键选择properties选项。Use synthesis constraints file.添加编写的xcf文件。xcf文件如下
BEGIN MODEL "vga"
NET "orient" buffer_type ="ibuf";
NET "orient" LOC="P45";
END;

约束"orient" 网络连接到ibuf即可。

[em01]




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0