Board logo

标题: 请教quartus6.0的一个程序问题 [打印本页]

作者: gyc1204    时间: 2007-4-14 23:58     标题: 请教quartus6.0的一个程序问题

我在QUARTUSII6.0用VHDL编程,每次只要程序中含有 + 、-、*、/ ,编译时都会报类似的错误,如下面这个程序:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

entity adder is
port( in1 : bit_vector;
      in2 : bit_vector;
      cnt1 : bit;
      pout : out bit_vector);
end entity adder;

architecture func of adder is
begin
process(cnt1)
begin
  if(cnt1='1') then pout<=in1 + in2;
  end if;
end process;
end architecture func;


每次编译时总是出现这样的错误提示:
Error (10327): VHDL error at adder.vhd(15): can't determine definition of operator ""+"" -- found 0 possible definitions
Error (10523): Ignored construct func at adder.vhd(11) due to previous errors

如果把程序中的“+”换成“*”或者“-”,编译时会把错误中的operator ""+"" 改成operator ""*""和operator ""-"",请高手指点一下出错的原因。谢谢了 


作者: caopengly    时间: 2007-4-15 23:16

你看一下你的输入法,用系统默认的英语输入法,

如果还不行,建议你重装quartus。






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0