Board logo

标题: [求助]请各位大侠指教 [打印本页]

作者: yanxuyuan    时间: 2007-4-19 17:09     标题: [求助]请各位大侠指教

首先谢谢指点:

请大侠看一下下边的代码段:

PROCESS(call,call_off,reset_all)
begin
 if(reset_all='1')then
  q1<='1';
  q4<='1';
  elsif(call='1') then
    q4<='1';
    q1<='0';
  elsif(call_off='1') then
   q4<='0' ;
   q1<='1';
end if;
end process ;

PROCESS(call,call_off,reset_all)
begin
 if(reset_all='1')then
  q1<='1';
  q4<='1';
  elsif(call'event and call='1') then
    q4<='1';
    q1<='0';
  elsif(call_off='1') then
   q4<='0' ;
   q1<='1';
end if;
end process ;

请问为什么下边的编译不通过,出现下列错误:

ERROR:Xst:827 - D:/CPLD/ering.vhdl line 31: Signal q1 cannot be synthesized, bad synchronous description.
ERROR: XST failed

这两条错误是什么错误啊?

也请遇到同样问题的同志帮忙顶一下!

请前辈指点,小弟不胜感激,谢谢!!

[此贴子已经被作者于2007-4-23 13:59:53编辑过]


作者: yanxuyuan    时间: 2007-4-20 11:30

拜托了 救救我吧 谢谢了!!


作者: chenhongyi123    时间: 2007-4-22 08:44

学习一下。
作者: yanxuyuan    时间: 2007-4-23 14:02

谢谢大侠


作者: yanxuyuan    时间: 2007-4-24 17:00

大侠说的对,他们不是互斥的,而且语法规定寄存器不能有ELSE(IF)语句!




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0