Board logo

标题: [求助]用ModelSim时出现错误? [打印本页]

作者: yayapei    时间: 2007-6-1 22:29     标题: [求助]用ModelSim时出现错误?

在xilinx 中调用ModelSim进行仿真,激励文件什么的都写好并加入到工程里面了, 但调用ModelSim就出现下面红色字的错误,为什么啊?

# Model Technology ModelSim SE vlog 6.1f Compiler 2006.05 May 12 2006
# -- Compiling module glbl
#
# Top level modules:
#  glbl
# vsim -L xilinxcorelib_ver -L unisims_ver -lib work -t 1ps tb_top_v2 glbl
# Error loading design
# Error: Error loading design

#        Pausing macro execution
# MACRO ./tb_top_v2.fdo PAUSED at line 9


作者: yayapei    时间: 2007-6-2 12:27

难道没有人知道为什么吗?






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0