Board logo

标题: 跪求用VHDL语言实现十六进制到BCD码的转换 [打印本页]

作者: evenkiss    时间: 2007-6-10 14:59     标题: 跪求用VHDL语言实现十六进制到BCD码的转换

,毕业设计中,现在需要做FPGA串口发送数据到LABVIEW,但是LABVIEW只能接受ASIC码,

找了好久没找到,向各位高手跪求用VHDL语言实现十六进制到ASIC码的转换的程序


作者: xialiu111222    时间: 2007-6-10 16:07

lz可以去www.soctop.com看看,那边有VHDL语言高手。你可以问问
作者: founding4576    时间: 2007-6-10 23:34

gei qian jiu bang ni zuo






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0