Board logo

标题: 一个关于verilog的程序,请教下!! [打印本页]

作者: yuchengze    时间: 2016-11-24 21:33     标题: 一个关于verilog的程序,请教下!!

module counter4(tb,clk,dataout,cin);
input wire tb,clk;
output reg [3:0] dataout;
output reg cin;
always @(posedge clk)
begin
if(tb==1)
begin
  dataout<=0;
  cin<=0;
end
else
begin
  dataout<=dataout+1;
  if(dataout==15)
   cin<=1;
  else
   cin<=0;
end
end
endmodule

这个程序怎么才能实现,异步清零功能!




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0