Board logo

标题: Xilinx microblaze的一些简单概念问题 [打印本页]

作者: yuchengze    时间: 2017-2-22 11:51     标题: Xilinx microblaze的一些简单概念问题

问题一:在microblaze中添加GPIO时,下面三个子选项AXI General

Purpose IO,LMB I/O Module,XPS General Purpose IO有什么区别?







问题二:在添加UART时,AXI UART (16550-style)和AXI UART(Lite)有什么区别?







欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0