Board logo

标题: VHDL怎么将输出端口的值反馈回系统内部? [打印本页]

作者: arrowlike    时间: 2007-7-11 03:08     标题: VHDL怎么将输出端口的值反馈回系统内部?

请教大侠,我需要用VHDL实现的一个小模块,两个输入,ON和TR,通过一个2选1选通器将信号送到D触发器,这个D触发器的输出就是模块的输出,Q。触发器的输出Q同时反馈回来控制那个2选1选通器。像下面这样写显然是错的,
if Q='1' then
Q<= ON;
else
Q<=TR;
end if;
错误信息如下:Parameter Q of mode out can not be associated with a formal parameter of mode in.
哪位大侠给个提示,我该怎么读取输出端口的值,谢谢。


作者: dzxx03    时间: 2007-7-11 12:10

verilog中可以用assign,VHDL不熟
作者: caopengly    时间: 2007-7-11 19:44

在vhdl中要将输出信号读入,可以将输出信号定义为BUFFER类,它带有一个寄存器,可以读出信号来反馈。

使用方法就是直接赋给其他信号就可以了。

[此贴子已经被作者于2007-7-11 19:44:48编辑过]






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0