Board logo

标题: 谁知 在vhdL中如何分配管脚? [打印本页]

作者: woncf    时间: 2003-12-9 22:31

要指定器件后才能分配管脚。
作者: sccgjchn@hotmai    时间: 2003-12-10 09:28

用语言实现 我想不应该指定器件 如果指定分配有误 编译一定会出错
作者: carol.yi@weiken    时间: 2003-12-12 14:03

以下例子为VHDL分配管脚的方法,仅供参考- -************************************** --* VHDL source constraint example --* Pin Assignment for PLCC/QFP Pkg. --* 2/01/2001 --* Lattice Semiconductor Corporation --************************************** --Target device = ispLSI5256VE-16LT128 --For BGA type syntax, see pinassgnBGA.syn library ieee; use ieee.std_logic_1164.all; entity pinassgqfp is port ( in5 :in std_logic; in8 :in std_logic; in10 :in std_logic; o ut std_logic_vector (13 downto 11)); --The syntax of attribute LOC --attribute LOC : string; --attribute LOC of [SigName] : signal is "P[pin#]"; attribute LOC : string; attribute LOC of in5 : signal is "P5"; attribute LOC of in8 : signal is "P8"; attribute LOC of in10 : signal is "P10"; attribute LOC of o : signal is "P13 P12 P11"; end; architecture behavioral of pinassgqfp is begin o(11) <= in5 and in8; o(12) <= in10 and in5; o(13) <= in8 and in10; end behavioral;
作者: sccgjchn@hotmai    时间: 2003-12-12 19:27

谢谢  我以前见过  就是不记得了 太感谢了
作者: sccgjchn@hotmai    时间: 2003-12-16 15:49     标题: 谁知 在vhdL中如何分配管脚?

谁知 在vhdL中如何分配管脚?[em18]




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0