Board logo

标题: [求助]LPM调用问题 [打印本页]

作者: feelholder    时间: 2007-7-26 14:19     标题: [求助]LPM调用问题

我利用LPM库生成了一个fifo,现在想在顶层的设计中调用它,应该如何调用?


作者: caopengly    时间: 2007-7-26 21:26

你的问题比较初级,在很多的教才上应该有。

你可以先建立一个sch文件,在其中添加元件,而后选中你生成的fifo就可以了,而后再添加一些输入输出口或一些其他逻辑单元。


作者: feelholder    时间: 2007-7-26 22:02

我是想在程序中调用

vhdl中可以用component调用,在verilog里面呢?

我在教材上没找到


作者: caopengly    时间: 2007-7-27 23:09

======在 2007-7-27 18:59:00 您来信中写道:======

我用megawizard生成了一个fifo,产生了fifo.v文件,我现在在这个工程下编了一个程序,需要用到fifo,那我在程序中要怎么调用?(不是在顶层图设计中调用)

谢谢!!


======================================
这个在vhdl或verilog语言中有说明,map语句就可以连接。
作者: feelholder    时间: 2007-7-28 11:37

在verilog中是不是直接fifo  f1(端口)这样就行了?

我向上面这样试过,这种情况下端口好像不能声明成reg型






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0