Board logo

标题: FPGA触发器 [打印本页]

作者: look_w    时间: 2017-10-20 17:55     标题: FPGA触发器

小例子及testbench介绍描述一个异步D触发器模块:




文件ex_module.v 定义可综合模块


[plain] view plain copy





    可综合模块写完后,需要用仿真测试去验证设计的正确性。可以编写testbench模块,在结合modelsim仿真就可以看到前仿真的结果。


文件tb_ex_module.v 定义激励模块

[plain] view plain copy








modelsim仿真结果




testbench编写可以归纳为:
1)对被测试设计的顶层接口进行例化。
2)给被测试设计的输入接口添加激励。
3)判断被测试设计的输出响应是否满足设计要求。




有限状态机FSM    硬件设计多是并行实现的,但对于实际的工程应用,往往需要让硬件来实现一些具有一定顺序的工作,这样就用到了状态机的思想。简单的说状态机就是通过不同的状态迁移来完成一些特定的顺序逻辑。




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0