Board logo

标题: FPGA触发器 如何设计状态机? [打印本页]

作者: look_w    时间: 2017-10-20 17:56     标题: FPGA触发器 如何设计状态机?

如何设计状态机
1)根据实际的工作流程画出状态迁移图。
2)根据状态迁移图来编写代码。

假如有两个开关K1和K2会随着条件A的值变化而变化。



ex_fsm.v 可综合模块

[plain] view plain copy





tb_ex_fsm.v 激励模块


[plain] view plain copy






如果每次用modelsim都手动添加仿真很麻烦,可以写一个run.do的自动仿真脚本
文件run.do 脚本

[plain] view plain copy




目录结构

modelsim在sim文件夹下建立工程,在modelsim的命令行中执行do run.do就可以自动仿真波形了。

modelsim仿真结果






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0