Board logo

标题: inout端口使用方法 [打印本页]

作者: look_w    时间: 2017-10-20 21:09     标题: inout端口使用方法

下面是一种典型的inout端口使用方法:
inout  io_data;   //inout口
reg    out_data;   //需要输出的数据
reg    io_link;    //inout口方向控制
assign io_data = io_link?out_data:1'bz; //这个是关键  
当inout端口作为输入口使用时,一定要把它置为高阻态,让例子中的io_link=0即可;
当inout端口作为输出口使用时,则将实例中的io_link=1,对out_data赋值就可以了。




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0