Board logo

标题: quartus急问!!!! [打印本页]

作者: 任由走    时间: 2007-10-15 15:30     标题: quartus急问!!!!

     我要把fpga自带的rom初始化,并把我的一些数据存入里面,arteral的技术人员说要编写一个mif的文件(memory initial file), 然后在quartus中建一个ROM,rom中调用这个文件,再编译就可以了(要编一个简单的dds)
不过我还是不很明白,希望高手能指点一下。有这方面的例子更好,可以给我发一份,参考一下,谢谢了!love1985o@163.com
斑竹,请多关照啊!
作者: caopengly    时间: 2007-10-15 16:57

编写一个mif的文件

在new里面就可以选mif文件。

然后在rom生成ip引导对话框中的initial file中将你刚建立的mif选择。


作者: diyer222    时间: 2007-10-16 11:22

对~会生成一个rom表,填入数据就可以了




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0