Board logo

标题: [求助] [打印本页]

作者: pennyllin    时间: 2007-10-20 16:02     标题: [求助]

定制了一个浮点指令,可总是不出运算结果,还请坛里的大侠不吝赐教,不胜感谢!


作者: caopengly    时间: 2007-10-21 13:51

你操作的c2h应该没有问题?你可以转换简单的试试先。

你可以将你的算法分成几个小的算法,一步一步来,最后可以通过这个方法找到原因,或者通过几个指令来实现。


作者: pennyllin    时间: 2007-10-22 13:46

我用浮点乘法器作了一个自定义指令,在system.h中被自动生成为inii型的,

#define ALT_CI_MUL_FL_N 0x00000000
#define ALT_CI_MUL_FL(A,B) __builtin_custom_inii(ALT_CI_MUL_FL_N,(A),(B))

我定义了三个浮点数,其中一个为另外两个 之积 ,该如何调用?

谢谢!






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0