Board logo

标题: [转帖]modelsim:将HEX文件直接读入RAM/ROM [打印本页]

作者: vincent    时间: 2007-11-27 16:24     标题: [转帖]modelsim:将HEX文件直接读入RAM/ROM

网上一大虾所写!强烈的崇拜啊!

verilog只支持readmemh,在设计mcu时,如果要调试程序,需要先转换格式,很麻烦
这个程序可以将编译后HEX格式的文件直接读入ROM中,省去转换环节
稍微改改就可以嵌入到你的程序里了
参照此程序就可以在仿真时读入其它格式的文件了

rPJlWGU3.rar (847 Bytes)




附件: [[转帖]modelsim:将HEX文件直接读入RAM/ROM] rPJlWGU3.rar (2007-11-27 16:13, 847 Bytes) / 下载次数 403
http://bbs.eccn.com/attachment.php?aid=2978&k=6195991925300ad006ec838629cbfba4&t=1718605356&sid=mtnQ1K
作者: graduate    时间: 2007-11-29 14:51

好像有的错误,是不是modelsim还需要设置些东西,我没有注意
作者: dxzhang    时间: 2007-12-25 14:10

fgdfgfggfgf
作者: pcsegment    时间: 2008-1-22 19:44

不能行呀!!!


作者: zsj_4116    时间: 2008-1-30 00:38

谢谢分享!!


作者: zsj_4116    时间: 2008-1-30 00:39

这个不知道行不行?
作者: lilil    时间: 2008-2-28 23:10

挺实用的
作者: wayne    时间: 2008-3-13 19:22

十分感谢
作者: doria    时间: 2008-3-20 11:18

谢谢,正需要呢。
作者: doria    时间: 2008-3-20 11:19

还必须发帖为1啊。
作者: skamly    时间: 2008-3-20 21:53

最近正研究这个,下来看看先
作者: davidlin05    时间: 2008-3-29 10:22

发贴心情
谢谢,正需要呢。

作者: huanglixing    时间: 2008-3-29 11:41

哈哈
作者: lgb571    时间: 2008-4-11 14:23

谢谢,正需要呢。
[em01][em02]
作者: dclixun    时间: 2008-4-12 19:43

下一个
作者: kaixin_0007    时间: 2008-8-23 20:17

感谢楼主!!




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0