Board logo

标题: 怎么用VHDL语言来编一个16位的串入并出移位寄存器 [打印本页]

作者: internethero    时间: 2004-4-18 11:06     标题: 怎么用VHDL语言来编一个16位的串入并出移位寄存器

怎么用VHDL语言来编一个16位的串入并出移位寄存器




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0