Board logo

标题: 我是菜鸟,帮我看看是什莫错误? [打印本页]

作者: zhf2477    时间: 2004-5-26 09:28     标题: 我是菜鸟,帮我看看是什莫错误?

原程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ch1 is port( pin48: in std_logic; pin7: out std_logic); end ch1; architecture a of ch1 is begin pin7<=pin48; end a; 错误如下: Error:lin1:File d:\untitled2.vhd:vhdl design file "untitled2"must contain an entity of the same name 谢谢![em01]
作者: boyfly    时间: 2004-5-26 09:28

你存储的文件名称与你的entity 名字不一致,需要将文件存储为ch1.vhd




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0