Board logo

标题: 求助!!!关于加法器和仿真? [打印本页]

作者: zhf2477    时间: 2004-5-4 18:13     标题: 求助!!!关于加法器和仿真?

原程序如下:调试也通过了。但是方针波形为什莫是图中的样子? -- MAX+plus II VHDL Example -- Conversion Function -- Copyright (c) 1994 Altera Corporation LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY adder IS PORT (op1, op2 : IN UNSIGNED(7 downto 0); result : OUT INTEGER); END adder; ARCHITECTURE maxpld OF adder IS BEGIN result <= CONV_INTEGER(op1 + op2); END maxpld; 谢谢![em27]

[此贴子已经被作者于2004-5-4 18:13:07编辑过]






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0