Board logo

标题: 我是菜鸟,帮我看看是什莫原因出错了? [打印本页]

作者: yaoyuanhappy    时间: 2004-5-8 10:44

你在保存这个文件的时候,它的文件名得是ch1.vhd,就是得和你的实体名一样
作者: green_pine    时间: 2004-5-8 14:34

同意二楼
作者: zhf2477    时间: 2004-5-8 14:34     标题: 我是菜鸟,帮我看看是什莫原因出错了?

原程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ch1 is port( pin48: in std_logic; pin7: out std_logic); end ch1; architecture a of ch1 is begin pin7<=pin48; end a; 错误如下: Error:lin1:File d:\untitled2.vhd:vhdl design file "untitled2"must contain an entity of the same name 谢谢! [em18]




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0