Board logo

标题: [求助]MAX+PLUS波形延时 [打印本页]

作者: nofree    时间: 2008-3-13 20:01     标题: [求助]MAX+PLUS波形延时

小弟初学,请问怎样去掉MAX+PLUS仿真时的波形延时 。当我写个 f <= a and b时 ,f的波形总是 延后a And b,观察起来很不便利,我在那个global timing requirements里面设置oc为 0ns也不起作用,请不吝赐教,谢谢。






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0