Board logo

标题: 请问FPGA可以实现倍频么? [打印本页]

作者: boyfly    时间: 2004-5-26 08:31

纯数字好像不行,一种方法是利用芯片带的pll实现,另一种是做出鉴相信号,然后外面用压空晶振实现倍频!两种方法的实质是一样的,不过前面一种要求芯片必须带pll,后面一种不需要!
作者: wukong012    时间: 2004-5-26 19:32

如果你对占空比要求不高,可以用延时单元加上异或门构成简单的倍频器,但是占空比随温度和电压变化而变化,精度不高,一般不建议使用。
作者: scliujun    时间: 2004-5-26 22:15

可以用PLL来实现倍频,原理就是用压控振荡器输出频率的n分频去和需要倍频的信号鉴相
作者: boyfly    时间: 2004-5-27 09:18

wukong012说的方法我以前在设备上用过,延迟1/4周期,然后异或,可以产生倍频信号,不过信号受到的影响因素太多,导致设备有时出误码有时不出误码,由于倍频的时钟在两种情况下看不出差别,所以很不好确定是不是由此引起,所以不要用这个电路了!
作者: XUPC    时间: 2004-5-28 12:23

xilinx和altera都有一些芯片可以实现倍频,很容易的。
作者: skychy    时间: 2004-5-28 23:43     标题: [建议]

用FPGA中的DLL[em13][em13]
作者: yaobin    时间: 2004-5-29 08:31

PLL完全可以搞定!很多芯片都自带!而且现在有纯数字PLL可以找一下呀!
作者: eDSP    时间: 2004-6-17 14:41

Xilinx的Spartan 3可以实现2-32倍频,而且不论输入信号的占空比是多少,倍频后输出都是50%的占空比,这是什么原理实现的?
作者: zhanhuabin1011    时间: 2004-6-30 17:25

我个人认为,作为模拟的,有一些电路可以实现;但纯数字的,我还没有见到过,大部分是部队数字的利用PLL来实现,本人也一直在关注这方面的事情!
作者: insidesun    时间: 2004-7-3 08:41

实现倍频功能, 最好是用模拟锁相环。而用全数字锁相环不能很好地解决这个问题,因为数字锁相环需要一个本地高速率时钟,锁相环输出的倍频信号其实只是这个本地高速时钟的分频,而不是输入基准频率的倍频。因为数字锁相环只能用来鉴相而不能用来鉴频。
       请各位批评指正!!
作者: eDSP    时间: 2004-7-29 14:35     标题: 请问FPGA可以实现倍频么?

整数分频比较好理解,倍频如何实现呢?
作者: wangz-1@sohu.co    时间: 2004-7-29 14:35

用带PLL的FPGA最好了,我做过,
作者: skygao    时间: 2005-9-7 14:11


作者: hhh_gjf    时间: 2006-4-24 08:55

用带PLL的FPGA好象只能对固定信号的频率进行倍频,而且也不是随意倍频的(altera的Cyclone的PLL IP就是这样,有时候想5倍频都不行);我想用FPGA来实现任意频率的方波信号的进行倍频,不知道能不能用Verilog实现啊?还是根本不能用全数字锁相环实现,希望大家给点意见.

作者: stone133    时间: 2006-4-24 15:35

1. 确实不能随意倍频,不同器件和不同用法对输入频率有不同要求;
2. 5倍频这样的要求倒是比较基本的,一般都能实现;
3. 纯粹的Verilog无法实现
4. 全数字锁相环可以实现,但是有范围,不能任意,具体看手册中的说明

作者: zhoujianyong198    时间: 2006-4-25 15:29

还是pll方便!
作者: legendbb    时间: 2006-5-3 20:00

Altera的PLL倍频很可能是一个相位寄存LUT的NCO。




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0