Board logo

标题: 求教高手!!!lpm_rom有错查不出来!! [打印本页]

作者: wuweijia    时间: 2004-6-10 09:43     标题: 求教高手!!!lpm_rom有错查不出来!!

LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
ENTITY rom IS
PORT (
   address        : IN std_logic_vector(10 downto 0);
   we,clk,memenab : IN std_logic;
   qout           : OUT std_logic_vector(7 downto 0));
END rom;
ARCHITECTURE behav OF rom IS
COMPONENT lpm_rom
        GENERIC (LPM_WIDTH: positive;
                LPM_TYPE: STRING := "lpm_rom";
                LPM_WIDTHAD: positive;
                LPM_NUMWORDS: NATURAL := 0;
                LPM_FILE: string;
                LPM_ADDRESS_CONTROL: STRING := "REGISTERED";
                LPM_OUTDATA: STRING := "REGISTERED";
                LPM_HINT: STRING := "UNUSED");
        PORT (address  : IN STD_LOGIC_VECTOR(10 downto 0);
          outclock : IN STD_LOGIC  := '0';
                  inclock  : IN STD_LOGIC  := '0';
                  memenab  : IN STD_LOGIC  := '1';
                    q      : OUT STD_LOGIC_VECTOR(7 downto 0));
END COMPONENT;
BEGIN
inst_1: lpm_rom
GENERIC MAP (lpm_width=>8,lpm_widthad=>11,lpm_file=>"*.mif",
              lpm_address_control=>"registered",lpm_outdata=>"registered")
PORT MAP (address=>address,inclock=>clk,memenab=>memenab,q=>qout);
END behav;
作者: wuweijia    时间: 2004-6-10 09:43

我自己搞定了!大家不用看了。[em13]




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0