Board logo

标题: 菜鸟请教大侠关于VHDL [打印本页]

作者: boyfly    时间: 2004-6-16 15:44

你要实现什么呀?
sll是什么呀?
作者: electriclover1    时间: 2004-6-16 17:16     标题: 菜鸟请教大侠关于VHDL

我的程序出了问题,请各位大侠不吝赐教: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity V4_3 is port(aIn : in bit_vector(7 downto 0); sllout : out bit_vector(7 downto 0)); end V4_3; architecture a of V4_3 is begin sllout <= aIn sll '2'; end a;[em06]
作者: leo    时间: 2004-6-16 17:16

你要实现什么功能。 另外:sllout <= aIn sll '2' 中的sll是什么意思。




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0