Board logo

标题: [请教]一个VHDL的程序(有关显示的问题 ) [打印本页]

作者: xhzwenwen    时间: 2004-6-24 21:32     标题: [请教]一个VHDL的程序(有关显示的问题 )

请问我做一个乒乓求游戏机的程序,用LED(8个)左右移已经实现发球,接球 过网(中间两个LED是网一直亮)
我打算用三个计数器,一个计算甲的分,一个计算乙得分,还有一个是计算有没有打到21个球
得分的规则是在甲没过网前乙接球了(甲发球),甲的分,乙发球时同.

但是我要在7段数码管上面显示得分情况怎么办?谁能给我个具体点的程序吗?
[em10][em10][em10][em10][em10][em10][em10][em10][em10][em10]
作者: lixg3837    时间: 2004-6-24 21:32

唉 复杂点!




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0