Board logo

标题: 关于Verilog中延时的实现 [打印本页]

作者: angela    时间: 2004-7-10 21:27     标题: 关于Verilog中延时的实现

大家知道Verilog里面的延时可以使用# m(m为需要延时的单位时间数),可是综合以后将程序烧到片子里之后,这种延时的方法还可以用么?那位有着方面经验的可否告诉一下。另外,使用寄存器的移位操作是否也可以实现延时,在应用软件综合之后这种方法是否仍然有效?




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0