Board logo

标题: 我是刚开始学VHDL,哪位高手帮个忙 [打印本页]

作者: boyfly    时间: 2004-7-28 14:57

把程序贴出来吧,我帮你看看!
作者: 新820665    时间: 2004-7-28 20:12

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY pulse IS END pulse; ARCHITECTURE pulse_gen OF pulse IS COMPONENT test PORT (clk : IN STD_LOGIC; cp : OUT STD_LOGIC); END COMPONENT; CONSTANT clk_cycle : TIME :=250 ns; SIGNAL test_clk , test_cp : STD_LOGIC; BEGIN U0 : test PORT MAP (clk => test_clk, cp => test_cp); PROCESS BEGIN test_clk <= '0'; WAIT FOR clk_cycle/2; test_clk <= '1'; WAIT FOR clk_cycle/2; test_cp <='0'; WAIT FOR clk_cycle; test_cp<='1'; WAIT FOR clk_cycle*7; END PROCESS; END pulse_gen; WAIT FOR编译的错误是 unsupported feature error:condition clause and timeout clause together in a wait statement is not support
作者: flywind    时间: 2004-10-2 21:07

"condition clause and timeout clause together in a wait"这种情况软件不支持。
因为没有用过这个软件,所以我也不太明白他的意思;只能给你翻译成中文,你自己看看吧。
Good luck!
作者: onlylei    时间: 2004-10-9 22:00

问题解决了吗?
wait for语句好像只能模拟算法的时候用,逻辑综合的时候不支持。
不一定对哦,我也一知半解,可以讨论一下。
作者: aqulia    时间: 2004-10-10 10:41

看不懂!
作者: leonyang    时间: 2004-10-16 08:30

wait on time这个语法综合器不支持,只能用于testbench或者behavior level的模型!
作者: 新820665    时间: 2004-10-17 10:34     标题: 我是刚开始学VHDL,哪位高手帮个忙

我怎么在用wait for 语句时出现错误
Unsupported feature error :condition clause and timeout clause together in a wait
statement is  not supported
谢谢!
我用的是MAX+PLUS2软件编写!
作者: Stupid_bird    时间: 2004-10-17 10:34

为什么一定要用wait for 啊? 看你的程序好像用after 也可以实现啊! 例如:test_clk <= '1' after clk_cycle/2;




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0