Board logo

标题: 请教大侠如何编程送数读数? [打印本页]

作者: boyfly    时间: 2004-9-13 11:34

你说的我怎么不明白,你是不是说建立一个cpu和fpga的接口,然后两者之间有数据读写呀!
作者: kzw    时间: 2004-9-14 18:59

读写总的要有读写信号把,另外你还有地址线,很简单啊,同步实现就根据读写和始终来读写,一部就职根据读写信号来实现。读就在数据线上去数据,写就把数据送出去。
作者: dulianweia    时间: 2004-9-15 21:11

典型的总线操作 按照 input cpu_wren , cpu_rden; input cpu_clk; inout [7:0] cpu_data; input [15:0] cpu_addr; always@(posedge clk or posedge reset) begin if(reset) wrdata <= 0; else if(cpu_wren &(cpu_addr = wraddr)) wrdata <= cpu_data; end assign cpu_data = (cpu_rden) ? rddata : 8'haa; endmodule 注意读写使能的长度可能根据时序要调整一下
作者: caopi3111    时间: 2004-9-15 21:13     标题: 请教大侠如何编程送数读数?

现在我们在FPGA上加入了一个自定义的外设,该外设有三个需要.从cpu送数的寄存器和一个输出数到CPU的寄存器。而且它们各自的地址也分配好了。现在我们的难题就是不知道怎么往这些寄存器送数,有怎么读出来。请大侠们指点一二。:)谢谢!
作者: dulianweia    时间: 2004-9-15 21:13

assign   cpu_data = (cpu_rden) ? rddata :8'hzz




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0