Board logo

标题: 求助] 各位仁兄,请教关于CPLD不能下载 [打印本页]

作者: jiangliao    时间: 2004-12-28 19:39

问题已解决,
作者: jiangliao    时间: 2005-1-25 20:46     标题: 求助] 各位仁兄,请教关于CPLD不能下载

我用的是altera公司MAX3000a系列的EPM3128ATC100-10芯片,VHDL程序却不能烧写,老是说unrecognized device or socket is empty,我用同样的下载线缆去烧写一个开发板的MAX7000s系列的EPM7127s84-15,却可以下载程序,我测试JTAG口的电压是:3.27V,比3.3V略低,与开发板上的JTAG口接法不一样的就是我们设计的板子NC 都是悬空,而开发板上是接地。其全局信号在程序中是否需要设定,我们写的程序非常简单,只是测试其可用性,请大侠帮助分析下,谢谢。
作者: 天涯海浪    时间: 2005-1-25 20:46

上面的老兄,我正在做的CPLD也遇到了同样的问题,我用的是EPM7128SLC-15,但老是说unrecognized device or socket is empty,你说的那个JTAG口的电压是:3.27V,是不是指TCK,TDI,TMS,TDO那几个端口?能否分享一下解决的办法,谢谢!
作者: cywen512    时间: 2005-12-29 16:59

有哪位用过北京理工达盛科技有限公司的EL-IV教学试验箱啊,这个试验箱是不是一定要在98系统下才能下载程序啊,我在XP下怎么不能直接下载呢,现在谁还用98系统啊,哪位大虾能不能指点一下啊,我的邮箱是cywen512@sohu.com,谢谢




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0