Board logo

标题: 使用EDK时遇到的问题,希望高手指教!! [打印本页]

作者: gaojian2002    时间: 2008-4-21 22:32     标题: 使用EDK时遇到的问题,希望高手指教!!

我在EDK9.2中使用MICROBLAZE构建了一个硬件系统,并添加了自己定制的IP核,在生成bit文件时出现如下错误:

NgdBuild:604 - logical block 'FOTG200_CHIP' with type 'FOTG200_CHIP' could
not be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol 'FOTG200_CHIP' is not
supported in target 'spartan3e'.

请问该如何解决?谢谢


作者: daniu1688    时间: 2008-5-4 23:30

1楼的,能交个朋友吗?我也在用EDK9。2,感觉有不少问题,能一起讨论下吗?

我的QQ是:396384


作者: OKEY22    时间: 2009-3-31 23:40

没有NGC文件






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0