Board logo

标题: 求一个四位抢答器的VHDL程序设计 [打印本页]

作者: merkey    时间: 2008-6-9 15:26     标题: 求一个四位抢答器的VHDL程序设计

找了好多资料..都弄不出来。.只好求教大家了。.


作者: caopengly    时间: 2008-6-10 11:16

从数字电路的角度来说,这个抢答器的实现是非常简单的,我在这里提供一下思路:

1使用组合逻辑:

速度快,楼组可以通过选择键实现选择关闭其他的按键,这样速度快,几乎不怕同时按下。但是要实现复杂的功能还是要时序逻辑。

2,时序逻辑

使用同步电路设计,思路和上面一样,还可以实现其他的功能比如显示,发声,等等。

其实楼主多花些时间看看verilog编程和数字电路就绝对没有问题的。






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0