Board logo

标题: 我有VHDL问题请教大家???? [打印本页]

作者: sword2144    时间: 2005-2-18 21:40

不是。赋值是<=
作者: stiffzhang    时间: 2005-2-18 21:40     标题: 我有VHDL问题请教大家????

不知道这句程序是否正确:
entity full_adder is
  port(sut std_logic;
          Co: in std_logic
         );
end full_adder;
architecture behave of full_adder is
begin
process(s)
vaiable ai:integer;
begin
si :=1;
case si is
       when 0 => s=>'0';Co =>'0';
       when 1 => s=>'0';Co =>'1';
       when others=>s=>'x';Co=>'0';
end case;
end process
end behave;


在这个程序中when 1 => s=>'0';Co =>'1';的这个“=>“是什么作用?是赋值吗?
作者: xing198200    时间: 2005-3-22 17:45

关键字没有什么具体含义相当于then
作者: hskcjh1234    时间: 2005-4-11 15:52

同意楼上的 “ 那么”的意思
作者: kevinWo1    时间: 2005-4-14 18:36

你的代码中:
si 从哪来啊
编译吗
这是全加器???
作者: snaper007    时间: 2005-4-16 22:41

这是几位的full add????
si 是什么东东!!




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0