Board logo

标题: [求助]verilog的random应用 [打印本页]

作者: fightinmyway    时间: 2008-9-25 16:11     标题: [求助]verilog的random应用

谁帮我看看这段程序哪出错了,谢谢了!

`timescale 1ns/1ns
module random_pulse(dout) ;

output dout;
reg dout;

integer rand1,rand2;
//,k;
initial
begin
dout = 'b0;
//for (k=0;k<100;k=k+1);
//begin
rand1=20*({$random}%6);
forever #rand1 dout=~dout;
end
endmodule






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0