Board logo

标题: 调试?? [打印本页]

作者: azafightaza    时间: 2008-9-27 09:48     标题: 调试??

我写了个8位锁存器,用单片机跟cpld通信,锁存信号手动接地可以,但单片机的低电平锁存信号开始后,锁存的数据乱七八糟,不明白是什么原因,不知道大家碰到过这种情况没有

程序如下:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY three IS
PORT(D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);
EN0:IN STD_LOGIC;
G0:IN STD_LOGIC;
P1:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END three;

ARCHITECTURE struct OF three IS
BEGIN
PROCESS(EN0,D,G0)
BEGIN
IF(G0='1')THEN
P1<="ZZZZZZZZ";
ELSIF(EN0='1')THEN
P1<=D;
ELSE
END IF;
END PROCESS;
END struct;


作者: caopengly    时间: 2008-9-27 12:49

楼主需要从几个方面去考虑,时序,抖动,还有就是驱动能力等,
作者: azafightaza    时间: 2008-9-28 11:24

解决了 ,抖动~~~

谢谢版主caopengly






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0