Board logo

标题: 如何用verilog实现从100个数中取出最大数?不用for循环。 [打印本页]

作者: lisa1027    时间: 2008-9-27 18:09     标题: 如何用verilog实现从100个数中取出最大数?不用for循环。

如何用verilog实现从100个数中取出最大数?不用for循环。怎么办?为什么不能用for循环呢?请高手指教


作者: caopengly    时间: 2008-10-6 20:15

for循环是不能综合的,是不能生成rtl代码的,、、

楼主可以通过状态机的方法实现for功能查找,比较然后找出最大的值。

其实找最大的值就是比较2进制中谁的最高位大,挨着比较就可以找出来。






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0