Board logo

标题: [求助]FPGA产生3种波形VHDL程序 [打印本页]

作者: shaozhanjia    时间: 2008-11-7 22:43     标题: [求助]FPGA产生3种波形VHDL程序

我的毕业论文是用单片机和FPGA产生3种波形!!

我想的是这样的单片机输出频率控制字 和3种波形选择信号

可是FPGA怎么产生3种波形呢 我知道用DDS 可是我网上找到的都是发生一个正弦波的

有没有能同时产生3种波形的 谢谢了 我要VHDL源程序!!万分谢啊~!






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0