Board logo

标题: 如何用Verilog描述双向开关的行为啊 [打印本页]

作者: makeboats    时间: 2009-4-13 23:26     标题: 如何用Verilog描述双向开关的行为啊

如何用Verilog描述双向开关的行为啊,Verilog里面本来有tranif1的语句可以使用,可是编译软件不支持tranif1,如何用行为建模的方式为双向开关建模呢?急切希望得到解答啊!




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0