首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]哪位大侠教教我怎么用VHDL语言来驱动DS18B20传感器?

[求助]哪位大侠教教我怎么用VHDL语言来驱动DS18B20传感器?

哪位大侠教教我怎么用VHDL语言来驱动DS18B20传感器的程序啊.楼主帮忙啊
返回列表