首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

VHDL 100例[下载]

VHDL 100例[下载]

估计上传的有问题吧,解压缩后只能看到94个,下面是例子的名称.在跟帖中下载文件!


 


VHDL语言100例
第1例 带控制端口的加法器
第2例 无控制端口的加法器
第3例 乘法器
第4例 比较器
第5例 二路选择器
第6例 寄存器
第7例 移位寄存器
第8例 综合单元库
第9例 七值逻辑与基本数据类型
第10例 函数
第11例 七值逻辑线或分辨函数
第12例 转换函数
第13例 左移函数
第14例 七值逻辑程序包
第15例 四输入多路器
第16例 目标选择器
第17例 奇偶校验器
第18例 映射单元库及其使用举
第19例 循环边界常数化测试
第20例 保护保留字
第21例 进程死锁
第22例 振荡与死锁
第23例 振荡电路
第24例 分辨信号与分辨函数
第25例 信号驱动源
第26例 属性TRANSACTION和分辨信号
第27例 块保护及属性EVENT,
第28例 形式参数属性的测试
第29例 进程和并发语句
第30例 信号发送与接收
第31例 中断处理优先机制建模
第32例 过程限定
第33例 整数比较器及其测试
第34例 数据总线的读写
第35例 基于总线的数据通道
第36例 基于多路器的数据通道
第37例 四值逻辑函数
第38例 四值逻辑向量按位或运算
第39例 生成语句描述规则结构
第40例 带类属的译码器描述
第41例 带类属的测试平台
第42例 行为与结构的混合描述
第43例 四位移位寄存器
第44例 寄存/计数器
第45例 顺序过程调用
第46例 VHDL中generic缺省值的使用
第47例 无输入元件的模拟
第48例 测试激励向量的编写
第49例 delta延迟例释
第50例 惯性延迟分析
第51例 传输延迟驱动优先
第52例 多倍(次)分频器
第53例 三位计数器与测试平台
第54例 分秒计数显示器的行为描述6
第55例 地址计数器
第56例 指令预读计数器
第57例 加.c减.c乘指令的译码和操作
第58例 2-4译码器结构描述
第59例 2-4译码器行为描述
第60例 转换函数在元件例示中的应用
第61例 基于同一基类型的两分辨类型的赋值相容问题
第62例 最大公约数的计算
第63例 最大公约数七段显示器编码
第64例 交通灯控制器
第65例 空调系统有限状态自动机
第66例 FIR滤波器
第67例 五阶椭圆滤波器
第68例 闹钟系统的控制
第69例 闹钟系统的译码
第70例 闹钟系统的移位寄存器
第71例 闹钟系统的闹钟寄存器和时间计数器
第72例 闹钟系统的显示驱动器
第73例 闹钟系统的分频器
第74例 闹钟系统的整体组装
第75例 存储器
第76例 电机转速控制器
第77例 神经元计算机
第78例ccAm2901四位微处理器的ALU输入
第79例ccAm2901四位微处理器的ALU
第80例ccAm2901四位微处理器的RAM
第81例ccAm2901四位微处理器的寄存器
第82例ccAm2901四位微处理器的输出与移位
第83例ccAm2910四位微程序控制器中的多路选择器
第84例ccAm2910四位微程序控制器中的计数器/寄存器
第85例ccAm2910四位微程序控制器的指令计数器
第86例ccAm2910四位微程序控制器的堆栈
第87例 Am2910四位微程序控制器的指令译码器
第88例 可控制计数器
第89例 四位超前进位加法器
第90例 实现窗口搜索算法的并行系统(1)——协同处理器
第91例 实现窗口搜索算法的并行系统(2)——序列存储器
第92例 实现窗口搜索算法的并行系统(3)——字符串存储器
第93例 实现窗口搜索算法的并行系统(4)——顶层控制器
第94例 MB86901流水线行为描述组成框架
第95例 MB86901寄存器文件管理的描述
第96例 MB86901内ALU的行为描述
第97例 移位指令的行为描述
第98例 单周期指令的描述
第99例 多周期指令的描述
第100例 MB86901流水线行为模型

VHDL 100例:
http://bbs.chinaecnet.com/uploadImages/200441123245276683.rar
thanx
我是菜鸟,请多多指教
好,学习!
谢谢了
谢谢了,缘分啊!
好人!
为革命事业干杯!
xie!!
hao
.......................
asdasd
非常感谢楼主
非常感谢!
你好:

我有下載並試行其中的第30例, 出現以下問題:
Error: VHDL Wait Statement error at test.vhd(21): Wait Statement must contain condition clause with UNTIL keyword

指這語句:wait for 20 ns;有錯. 我之前編寫的同類程序也有此情況出現,請問高手何解?
Thanks
lz,3x
....
返回列表