首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

VHDL 100例[下载]

你好:

我有下載並試行其中的第30例, 出現以下問題:
Error: VHDL Wait Statement error at test.vhd(21): Wait Statement must contain condition clause with UNTIL keyword

指這語句:wait for 20 ns;有錯. 我之前編寫的同類程序也有此情況出現,請問高手何解?
返回列表