首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问怎样延时?

请问怎样延时?

我在进程中加入一个循环,但不能综合,如果用计数器,又没有时钟,请问还有什么方法可以延时?

这个问题有点麻烦,你要求的延时要很准确么?什么级别的?ms?ns?
算了,想办法弄个时钟。
把FPGA系统时钟接过来就可以了。

大浪淘沙
留下的全是金子
要怎样做呢?
返回列表