首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

数字IC低功耗设计入门(二)——功耗的分析(5)

数字IC低功耗设计入门(二)——功耗的分析(5)

③功耗的分析
  对RTL代码仿真后,所得到的RTL Backward SAIF文件包含了设计中综合不变物体的开关行为信息。进行功耗分析时,分析工具通过其内部仿真器把综合不变物体的翻转率传播下去,从而得到其他所有节点的翻转率,进行门级电路的功耗分析。得到了RTL backward SAIF文件之后,我们根据前面的功耗分析的流程(从输入输出关系看),就可以分析功耗了:
              
这里的开关活动文件就是RTL backward SAIF文件了。然后在power compiler中利用RTL backward SAIF文件进行功耗分析的流程如下所示:
                
一个相应的示例脚本如下所示:
    set  target_library  my. db
    set  link_library  "*  $target_library"
    read_verilog   mynetlist.v
    current_design top
    link
    read_ saif  -input  rtl.saif  -inst  testbench/top
    report_power
  利用RTL backward SAIF文件分析功耗的过程就是上面这个样子了。上面的流程和脚本适用于前版图(pre-layout)的设计,没有用到寄生参数文件。连线的RC参数使用工艺库里的线负载模型。如果是后版图(post-layout)的设计,要尽量使用寄生参数文件,提高功耗分析的精确度。
  从上面我们就知道,利用RTL backward SAIF文件分析功耗的流程就是:
power compiler 产生 RTL forward SAIF文件 ——》VCS仿真产生RTL  backward SAIF文件 ——》power compiler 进行分析功耗。


  (4)SAIF--GATE分析法
  前面介绍了RTL backward SAIF文件分析功耗的方法和流程,下面介绍一下Gate backward SAIF文件分析功耗的方法和流程,这个与RTL backward SAIF文件的很类似。
①library  forward  SAIF 文件(简称为 库SAIF文件)
  库SAIF文件是包含SDPD(电路状态路径)信息的SAIF文件。Gate backward SAIF文件的生成需要库SAIF文件,该文件可以通过power compiler生成,流程如下所示:
        

对应该流程的一个示例脚本如下所示:
    read_db  mylib.db
    lib2saif  -output  mylib. saif  -lib_pathname   mylib.db
示例库SAIF文件的部分内容如下所示:
(SAIFILE
(SAIFVERSION "2.0" "lib")
(DIRECTION "forward")
(DESIGN)
(DATE "Mon May 10 15:40:19 2004"
(VENDOR "Synopsys,Inc")
(PROGRAM NAME "lib2saif")
(DIVIDER / )
(LIBRARY "ssc_core_typ"
  (MODULE "and2al"
      (PORT
        (Y
          (COND A RISE FALL (IOPATH B)
            COND B RISE FALL(IOPATH A)
            COND DEFAULT)
        )
······
库SAIF文件中包含了SDPD信息。有了库SAIF文件,仿真时,仿真器会根据库中的SDPD信息,监视节点的开关行为。

返回列表