首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Mentor 推出独特端到端 Xpedition 高密度先进封装流程

Mentor 推出独特端到端 Xpedition 高密度先进封装流程

Mentor® Xpedition® 高密度先进封装 (HDAP) 流程是业内首个针对当今最先进的 IC 封装设计和验证的综合解决方案。· 业内独一无二的 Xpedition Substrate Integrator 工具可快速实现异构基底封装组件的样机制作。
· 针对物理封装实施的新型 Xpedition Package Design 技术可确保设计 Signoff 与验证的数据同步。
· Integrated Mentor HyperLynx® 技术提供了 2.5D/3D 仿真模型和设计规则检查  (DRC),可在流片之前精确地识别和解决设计错误。
· Calibre® 3DSTACK 技术可针对各种 2.5D 和 3D 叠层芯片组件进行完整的 Signoff 验证。
Siemens 业务部门 Mentor 今天宣布推出业内最全面和高效的针对先进 IC 封装设计的解决方案 — Xpedition® 高密度先进封装  (HDAP) 流程。这一全面的端到端解决方案结合了 Mentor® Xpedition、HyperLynx® 和 Calibre® 技术,实现了快速的样机制作和  GDS Signoff。相比已有的 HDAP 方法和技术,全新 Mentor IC 封装设计流程提供了更快速、更优质的结果。Xpedition HDAP  设计环境能够在几小时内提供更早、更快速和准确的“假设分析”样机评估,相当于现有工具和流程几天或几周的工作量,使客户能够在详细实施之前探索和优化 HDAP  设计。
随着扇出晶圆级封装 (FOWLP) 等先进封装技术的兴起,IC  设计和封装设计领域的融合也愈发明显。这就为现有的传统设计方法带来了非同寻常的挑战,因此迫切需要更为高效的全新流程、方法和设计工具。从设计阶段进入制造阶段时,现有工具往往效率偏低,甚至完全无法使用。Mentor  独一无二的 HDAP 解决方案已成功解决了这一问题。该解决方案包含多层基底集成样机制作以及具有 Foundry/OSAT 级验证和 Signoff  的详细物理实施。
“预计 FOWLP 在 2015 年至 2020 年内的增长率将达到惊人的 82%,”TechSearch International 公司总裁 Jan  Vardaman 说道,“但是,FOWLP 会干扰传统的设计和制造供应链。与其他高密度先进封装技术一样,它将推动对设备与封装协同设计以及新流程的需求,如  Mentor HDAP 解决方案。”
独一无二的 HDAP 集成、样机制作和封装设计技术
新的 HDAP 流程引入了两项独特的技术。第一个是 Xpedition Substrate Integrator  工具,它是一个图形化、快速的虚拟原型设计环境,能够探索异构 IC 并将其与中介层、封装和 PCB  集成。它采用基于规则的方法优化连接性、性能和可制造性,提供了针对整个跨领域基底系统的快速且可预测的组件样机制作。第二个新技术是 Xpedition  Package Designer 工具,它是一个完整的 HDAP 设计到掩模就绪的 GDS 输出解决方案,能够管理封装物理实现。Xpedition  Package Designer 工具使用内置的 HyperLynx 设计规则检查 (DRC) 在 Signoff 之前进行详细的设计内检查,并且  HyperLynx FAST3D 封装解析器提供了封装模型的创建。直接与 Calibre 工具集成,然后提供流程设计套件(PDK) Signoff。
针对设计内检查的集成 HyperLynx® 技术
Xpedition HDAP 流程与两个 Mentor HyperLynx 技术集成,实现 3D 信号完整性 (SI)/电源完整性  (PI),以及流程内设计规则检查 (DRC)。封装设计师可使用 HyperLynx FAST 3D 场解析器进行提取和分析,进行 SI/PI 3D  模型仿真。HyperLynx DRC 工具可轻松识别和解决基底级别的 DRC 错误,通常能够在最终流片和 Signoff 验证之前发现 80%-90%  的问题。
Calibre® 3DSTACK 技术
与 Xpedition Package Designer 工具集成之后,Calibre 3DSTACK 技术可提供 2.5D/3D 封装物理验证。IC  封装设计师可以在任何工艺节点对整个多芯片系统进行设计规则检查 (DRC) 和布局与原理图 (LVS)  检查,而无需破坏现有工具流程或要求新的数据格式,从而极大地减少了流片时间。
OSAT 联盟计划
Mentor 还推出了外包装配和测试 (OSAT) 联盟计划,它是一个全局设计和供应链资源,使无晶圆厂客户能够更轻松地采纳新兴的 HDAP 技术。OSAT  联盟计划包含了针对验证与 Signoff 流程的经验证的设计流程、工具套件和最佳实践建议,旨在创建能够实现最优质结果的 HDAP 项目。
“Mentor 推出的新 Xpedition HDAP 解决方案结合了来自 Xpediton、HyperLynx 和 Calibre  经验证的业内先进技术,”Mentor BSD 副总裁兼总经理 A.J. Incorvaia 说道,“众多公司正在寻找经验证的集中式 FOWLP  解决方案,能够结合晶圆代工厂和 OSAT 设计和制造 Signoff 支持。Xpedition HDAP 流程为客户提供了统一的设计和验证环境,用于晶圆代工厂的  Signoff 就绪设计。”
返回列表