首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

刚毕业的大学生们,付老师传授工作经验(CPLD/FPGA/DSP)

up
付老师你好!我是一名大四的学生,正在做毕业设计,但在用CPLD设计24位计数器时遇到了难题,我不知道如何下手,请给予指教。
我正在学习CPLD,希望高手多多指教。
付老师您好:
我做的是HDLC的FPGA实现方法
如您有相关资料我想求一些
谢谢老师
gl1172_cn@sina.com
付老师:
       您好!
       我只有中专的学历,我想学FPGA,我知道有一定的困难,我想我会克服的.我想请教付老师一些学习的方法以及一些学习的资料,谢谢

我的Email:evanlee@163.com

[此贴子已经被作者于2005-6-23 18:30:50编辑过]

付老师,您好,我是做电子销售的,工作中遇到些问题我可以请教您吗?谢谢您了。QQ 418037876 若您需要电子方面的东西可以找我。我公司代理AD TI ATMEL 等。
我是做电子的。QQ418037876
付老师太忙了,也没时间一个一个来回答大家的问题.请大家有问题的另外发帖,以免延误给您解答的时机.

大浪淘沙
留下的全是金子
哈,很高兴与你交朋友,希望我们以后技术多交流
付老师
您结婚了吗 ?
付老师,您好,我刚开始学习有关FPGA方面的东西,我听说做项目要花费很多时间看新片资料,不知道这个时间占整个项目时间的多少呢?
付老师,您好。我使用lattice的cpld,想编了一个简单的四分频的程序,使用T触发器的时候,定义的老是出问题,请您帮忙
MODULE FOUR DIVIDE
TITLE 'FOUR DIVIDE'
//INPUT
CLKI PIN;
//OUTPUT
CLKO PIN;
COUNT[0,1];//对于这个中间的量应该怎么样定义?
EQUATIONS
COUNT[].T=VCC;
COUNT[0].CLK=CLKI;
COUNT[1].CLK=COUNT[0].Q;
CLKO=COUNT[1];
END
我使用ALTERA的CPLD的时候,是可以定义变量的,可以LATTICE的不可以
付老师好,我觉得大家都是有志于这方面的,还不如我们互相加QQ吧,要么建一个群,大家看怎么样呢?》
我先说我的QQ吧,就是我的名字:349257727
加人请著名;CPLD
付老师。。请教一个问题。。最近用max7000s的epm7128stc100做开发。。可是我自己制作的下载电路怎么也不能写入程序反应为找不到设备。。我是按照以前的一个对84腿芯片(epm7128slc84-15)的实验板的下载电路做的。。请问7000s系列芯片的下载电路不会因为封装形式不一样而有所不同把
付老师您好,
我也想找这个芯片的资料,但是找不到啊,英文的也可以啊,告诉我在哪儿找吧,多谢了
EP1K30TC144-3芯片

付老师您好,
我也想找这个芯片的资料,但是找不到啊,英文的也可以啊,告诉我在哪儿找吧,多谢了
付老师 你好啊,我是一名大四的学生,我学的是自动化专业,我们最近要做个课程设计
题目是 基于ISA/PCI总线与CPLD的单轴或双轴运动控制器设计
你能给我提供一些这方面的资料吗?
知识就是力量
返回列表