首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

FPGA/CPLD可编程逻辑

[ 26527 主题 / 25683 回复 ]

版块介绍: 讨论关于FPGA和CPLD的相关话题!

版主: boyfly, stone133, flanix, bydxdtcdj, 电子狂热, xcx_hust, benbenfei, AndyLee008

FPGA/CPLD可编程逻辑

    标题 作者 回复/查看 最后发表
common   [求助]vhdl中怎样定义输出口维持原状不变? anny1209 2006-3-10 8/1782 anny1209 2006-3-14 10:34
common   关于verilog HDL的使用范围 coder 2006-3-9 3/1086 coder 2006-3-14 10:39
common   G.703通讯 cpldfpga 2006-3-9 6/1114 cpldfpga 2006-3-14 13:41
common   有谁用过simplescalar模拟器 ys3663391 2006-3-8 0/795 ys3663391 2006-3-8 21:54
common   请大哥大姐帮帮忙! maizhengwen 2006-3-8 0/677 maizhengwen 2006-3-8 21:09
common   [求助]初学者求助,一段代码错误 big-eblis 2006-3-8 8/2139 32kmcu 2006-3-9 22:29
common   分析差错 lijiyu 2006-3-8 2/1087 32kmcu 2006-3-8 21:54
common   关于将多个程序一起写入CPLD lijiyu 2006-3-8 6/1140 stone133 2006-3-10 10:48
common   CPLD 可以用常用的时序逻辑电路表达吗? my_zjf_ 2006-3-8 4/1221 32kmcu 2006-3-8 21:59
common   新手求助 lijiyu 2006-3-8 3/823 stone133 2006-3-8 20:19
common   Soc验证方法,英文原版[下载]  ... 2 fofia 2006-3-8 17/6067 diandi098 2013-2-26 14:22
hot   夏宇闻著作:从算法设计到硬线逻辑的实现 快点下  ... 2 3 bille 2006-3-8 39/6901 vs9078 2010-5-24 20:57
common   Xilinx公司的芯片问题[求助] xdwang1983 2006-3-7 1/875 bemoon 2006-3-8 17:33
common   求救I2C的CPLD软件包 anotherchen 2006-3-7 1/935 stone133 2006-3-8 21:00
common   请问gclk和普通的io口有什么分别? icefog 2006-3-7 4/1387 boyfly 2006-3-10 08:57
common   这是一篇很好的文章,学verilog的可以好好看看!-->silverwolf7516转移 leo 2006-3-7 6/1712 boyfly 2006-3-14 08:40
common   如何在用vhdl语言完成的数字时钟中添加星期和时区功能 raul1984love 2006-3-7 4/1670 woshizl 2008-3-18 21:08
common   请问gclk和普通的io口有什么分别? icefog 2006-3-6 3/2161 icefog 2006-3-8 09:42
hot   SOC.Verfication.Methodology.and.Techniques[下载]  ... 2 fofia 2006-3-6 23/14556 baitnhf9rSs 2012-7-6 13:37
common   请教写testbench的一个问题。 fenglouto 2006-3-6 2/854 fenglouto 2006-3-6 12:35
common   有人用过AD的整形芯片ADCMP573吗? winds2001 2006-3-5 0/845 winds2001 2006-3-5 21:02
common   关于FPGA配置芯片EPCS64的用法 winds2001 2006-3-5 1/1846 waterlily 2006-3-6 10:13
common   VHDL問題一則 Louis.K 2006-3-5 4/820 Louis.K 2006-3-6 18:10
common   关于Verilog的行为建模 zhengyibin85 2006-3-4 0/804 zhengyibin85 2006-3-4 23:27
common   求助用FPGA设计一个16分频器,小女子先在此谢谢各位大虾了 momei1007 2006-3-4 0/923 momei1007 2006-3-4 15:32
common   请教 版主 关于FPGA 内的RAM 读写问题 bille 2006-3-4 3/1288 32kmcu 2006-3-10 10:26
common   请问怎样获得fpga的系统时钟? icefog 2006-3-4 3/1138 icefog 2006-3-6 17:28
common   初学。为什么在FPGA上仿真OK,在CPLD上没有输出 jameslee2005 2006-3-3 1/858 OneOne 2006-3-4 16:34
common   [分享]数字信号处理的FPGA实现  ... 2 Dorothy 2006-3-3 17/3443 xizhengshanyy 2007-7-19 20:48
common   卖XLINUX95108的开发板,现在转行了,需要的跟贴[原创] jimmyhua 2006-3-3 1/857 jimmyhua 2006-3-6 14:22
common   请帮忙找下verilog写的一个简单测试程序的错误. qgyqiao 2006-3-3 6/1939 stone133 2006-3-10 22:33
common   [求助]关于CPLD的高精度计时器 demonicsoul 2006-3-3 5/1432 blueseahaichao 2006-3-14 04:23
common   请问62256具体是如何工作的? icefog 2006-3-3 1/870 111 2006-3-16 10:57
common   FPGA里各模块字电路用VHDL程序代码连接是怎么编译的? hejab123 2006-3-2 3/1474 ahliang 2006-3-6 21:25
common   write testbech (verilog 版) fenglouto 2006-3-2 7/1364 mqb2008 2007-9-2 18:31
common   isplever和synlify77软件怎么装不上呀 救命[求助] flashguest88 2006-3-2 0/760 flashguest88 2006-3-2 14:42
common   有偿求cadence的incisive functional verification platform开发软件 liujwdavid 2006-3-2 0/2034 liujwdavid 2006-3-2 10:22
common   [求助]新手求助,如何用CPLD设计双向开关 bemoon 2006-3-2 13/1959 wuhao2000 2006-8-14 17:55
common   求助 fir_compiler-v3.3.0的license panzhijian1983 2006-3-1 3/1633 xzzphh 2008-1-24 15:35
common   fpga急问!!! kendny 2006-3-1 3/807 waterlily 2006-3-2 15:33
    类型 排序方式 时间范围